Image
硬件描述语言VHDL课程(第二部分) 2013-05-10 10:00:00
  • Q猴哥,推荐本VHDL的教材吧

    A 随便一本都可以。当字典即可。需要的时候查一眼。

  • Q初学VHDL,要做一个电子钟,如何实现数码管的动态扫描?

    A显示时钟么?

  • QvhdL语言中for循环的作用范围是什么?

    A 这个范围有用户自己定义

  • QVHDL中的buffer是什么意思,在什么情况下用它定义呢?

    A buffer和out都是用来表示模块的输出的,如果模块内部会load这个输出,就要声明成buffer,否则声名成 out就可以了。一般情况下的buffer可以代替out,但out不能代替buffer。 但是一般都用OUT  

  • Q求问s=>'0'中=>这个符号是什么意思啊?

    A 是端口映射的么?? 问题太笼统了。看不明白。

  • Qbuffer是什么意思,什么时候需要用?

    A 很多时候,比方时钟

  • Qvhdl 怎么注释?支持汉字吗?

    A 支持,但是需要看编辑器支持否

  • QVHDL语言最突出的特点是什么?

    A 硬件描述语言。

  • Q请问VHDL中如何调用自己的库?

    A写完库后编译,然后用Library调用即可。

  • Q请问VHDL中buffer与inout的区别?

    A buffer和out都是用来表示模块的输出的,如果模块内部会load这个输出,就要声明成buffer,否则声名成 out就可以了。一般情况下的buffer可以代替out,但out不能代替buffer。 但是一般都用OUT INOUT为双向端口,用的的时候注意规则。

  • Q常数在实体里面不同在位置定义会有什么区别?

    A 定义在哪里就在哪里使用。

  • Q现在只有VHDL语言支持设计的重用吗?

    A 支持啊

  • Q使用VHDL如何取反?

    A NOT —— 取反

  • QVHDL语言中的COMPONENT是什么意思?

    A 模块,在一个大模块里面调用小模块。

  • QVHDL语言中的COMPONENT是什么意思?

    A 是你写的模块,要在另一个模块里面调用。

  • Q只有VHDL语言要求实体和现象必须绑定吗?这样做的原因是什么?

    A 必须的。 没有原因,语法规定

  • Q学习VHDL语言之前需要怎样的基础?

    A 数字电路

  • Q猴哥你这ppt有可以下载不?

    A 不可以,可以重复点播。现在声音和图像有点不同步,站方等点播的时候会解决

  • Q强制定义数据类型有什么好处?

    A 有些时候状态机或者其他需要你如此。

  • QVHDL能同时读取时钟上的上升沿和下降沿吗?

    A 能。